题目分析

可使用资源:D触发器和必要的逻辑门(&,|,!,^,~^)

解题过程

根据题目给定的状态表和触发器类型写出驱动方程和输出方程。
alt

所以需要的逻辑门为一个异或门、一个同或门、三个与门、一个或门。

参考代码

`timescale 1ns/1ns

module seq_circuit(
      input                A   ,
      input                clk ,
      input                rst_n,
 
      output   wire        Y   
);

reg [1:0] R_Q;
wire [1:0] W_D;

assign W_D[0] = !R_Q[0];
assign W_D[1] = (R_Q[1] ^ R_Q[0]) & (!A) | (R_Q[1] ~^ R_Q[0]) & (A) ;
assign Y = &R_Q;

always @(posedge clk or negedge rst_n)
begin
    if(~rst_n) 
    begin
        R_Q <= 2'd0;
    end 
    else
    begin
        R_Q <= W_D;
    end
end

endmodule