题目传送门

题目:


一堆数据相乘,大于1e18输出-1,否则输出累乘答案

用法:

这次打at169 ,B题没做出来,赛后看了某大佬的题解
大佬题解
了解到了__int128_t 类型
去搜索发现不能直接输入输出__int128_t类型的数据
巧妙在于对于输入输出不涉及此类型,但是中间运算又会超过long long 类型的我们可以使用,输出的时候做一个强制转换就行。代码基本上和大佬代码一样,本蒟弱主要记录下来自己学习

代码:

#include<bits/stdc++.h>
using namespace std;

typedef long long LL;
const LL eps = 1e18;
const LL N = 1e5+9;
int n;
LL a[N];
__int128_t ans;
int main(){

    cin>>n;
    ans=1;
    for(int i=0;i<n;i++){
        cin>>a[i];
        if(a[i]==0){
            cout<<0<<endl;
            return 0;
        }
    }
    for(int i=0;i<n;i++){
        ans*=a[i];
        if(ans>eps){
            cout<<-1<<endl;
            return 0;
        }
    }
    cout<<(LL)ans<<endl;

    return 0;
}