简析

环形计数器

电路图

状态图

扭环形计数器

电路图

状态图

FF3FF_3的反相输出接回到FF0FF_0就构成了扭环形计数器,也被称为约翰逊计数器Johnson Counter。它比环形计数器增加了有效循环的状态数量。

可自启动的扭环形计数器

电路图

状态图

扭环形计数器仍然有很多状态是无效的,一旦计数器进入这些状态就会陷入死循环,无法正常工作。计数器的初始状态必须位于有效循环的几种状态之中才能启动。通过添加门电路可以拆掉无效循环,也就是可以自启动的扭环形计数器。

图片来自《数字电子技术基础(第六版)》阎石主编。

代码

`timescale 1ns/1ns

module JC_counter(
   input                clk ,
   input                rst_n,
 
   output reg [3:0]     Q  
);
    always@(posedge clk or negedge rst_n) begin
        if(~rst_n)
            Q <= 0;
        else if(~Q[0])
            Q <= {1'b1, Q[3:1]};
        else if(Q[0])
            Q <= {1'b0, Q[3:1]};
    end
  
  	// 参考答案中更简洁的写法
  	//always@(posedge clk or negedge rst_n) begin
    //    if(~rst_n)
    //        Q <= 0;
    //    else
    //        Q <= {~Q[0], Q[3:1]};
    //end
endmodule