目录

代码

`timescale 1ns/1ns

module comparator_4(
	input		[3:0]       A   	,
	input	   [3:0]		B   	,
 
 	output	 wire		Y2    , //A>B
	output   wire        Y1    , //A=B
    output   wire        Y0      //A<B
);
    assign Y2 = (A[3]>B[3]) + (A[3]==B[3]&&A[2]>B[2]) + (A[3]==B[3]&&A[2]==B[2]&&A[1]>B[1]) + (A[3]==B[3]&&A[2]==B[2]&&A[1]==B[1]&&A[0]>B[0]);
    assign Y1 = A[3]==B[3]&&A[2]==B[2]&&A[1]==B[1]&&A[0]==B[0];
    assign Y0 = (A[3]<B[3]) + (A[3]==B[3]&&A[2]<B[2]) + (A[3]==B[3]&&A[2]==B[2]&&A[1]<B[1]) + (A[3]==B[3]&&A[2]==B[2]&&A[1]==B[1]&&A[0]<B[0]);
endmodule

简析

题目要求用门级描述方式。严格地说,大小比较也应该转换为与或非表示。对于1bit数aabb来说:

a>b    aba<b    aba==b    aba>b \iff a \cdot \overline{b} \\ a<b \iff \overline{a} \cdot b \\ a==b \iff a \odot b

提交完了才发现,懒得改了。