简析

本想着用状态机,不过题目要求使用D触发器,差点没想出来。
因为是D触发器,所以每个always块简单控制一个变量。所以进一步地将原来的电路转换表拆分成三个真值表。Q0n+1Q_0^{n+1}Q1n+1Q_1^{n+1}的状态受Q0nQ_0^{n}Q1nQ_1^{n}AA的影响,YY的状态受Q0nQ_0^{n}Q1nQ_1^{n}的影响。

Q1n+1Q_1^{n+1}的真值表

AA Q1nQ_1^{n} Q0nQ_0^{n} Q1n+1Q_1^{n+1}
0 0 0 0
0 0 1 1
0 1 0 1
0 1 1 0
1 0 0 1
1 0 1 0
1 1 0 0
1 1 1 1

可以得出表达式Q1n+1=AQ1nQ0nQ_1^{n+1}=A\oplus Q_1^{n}\oplus Q_0^{n}
Q0n+1Q_0^{n+1}的真值表

AA Q1nQ_1^{n} Q0nQ_0^{n} Q0n+1Q_0^{n+1}
0 0 0 1
0 0 1 0
0 1 0 1
0 1 1 0
1 0 0 1
1 0 1 0
1 1 0 1
1 1 1 0

可以得出表达式Q0n+1=Q0nQ_0^{n+1}=\overline{Q_0^{n}}
YY的真值表

Q1nQ_1^{n} Q0nQ_0^{n} YY
0 0 0
0 1 0
1 0 0
1 1 1

可以得出表达式Y=Q0nQ1nY=Q_0^{n}·Q_1^{n}

代码

`timescale 1ns/1ns

module seq_circuit(
      input                A   ,
      input                clk ,
      input                rst_n,
 
      output   wire        Y   
);
    reg q0, q1;
    
    always@(posedge clk or negedge rst_n) begin
        if(~rst_n) begin
            q1 <= 0;
        end
        else begin
            q1 <= A ^ q0 ^ q1;
        end
    end
    
    always@(posedge clk or negedge rst_n) begin
        if(~rst_n) begin
            q0 <= 0;
        end
        else begin
            q0 <= ~q0;
        end
    end
    assign Y = q0 & q1;
endmodule