神气的小笼包
神气的小笼包
全部文章
分类
归档
标签
去牛客网
登录
/
注册
神气的小笼包的博客
全部文章
(共58篇)
题解 | #ROM的简单实现#
`timescale 1ns/1ns module rom( input clk, input rst_n, input [7:0]addr, output [3:0]data ); reg [3:0] rom [0:7]; integer i; always@(posedge c...
2023-08-14
1
366
题解 | #边沿检测#
`timescale 1ns/1ns module edge_detect( input clk, input rst_n, input a, output reg rise, output reg down ); reg a_reg; always@(posedge clk or...
2023-08-14
0
297
题解 | #嵌入式牛牛宏大小#
/** * 代码中的类名、方法名、参数名已经指定,请勿修改,直接返回方法规定的值即可 * * * @param a int整型 牛妹的点数 * @param b int整型 牛牛的点数 * @return int整型 */ int min_number(int a, int b ) ...
2023-08-09
0
264
题解 | #异步复位同步释放#
`timescale 1ns/1ns module ali16( input clk, input rst_n, input d, output reg dout ); reg rst0,rst1; //*************code***********// always@...
2023-08-09
0
288
题解 | #编写乘法器求解算法表达式#
`timescale 1ns/1ns module calculation( input clk, input rst_n, input [3:0] a, input [3:0] b, output [8:0] c ); wire [7:0] pro0,pro1; mult_4 u...
2023-08-09
2
325
题解 | #任意奇数倍时钟分频#
`timescale 1ns/1ns module clk_divider #(parameter dividor = 5) ( input clk_in, input rst_n, output clk_out ); reg [$clog2(dividor)-1:0] cnt; ...
2023-08-08
0
225
题解 | #串行进位加法器#
`timescale 1ns/1ns module add_4( input [3:0] A , input [3:0] B , input Ci , output wire [3:0] S ...
2023-08-08
0
276
题解 | #脉冲同步器(快到慢)#
`timescale 100ps/100ps module pulse_detect( input clka , input clkb , input rst_n , input sig_a , output sig_b ); r...
2023-08-08
0
238
题解 | #使用握手信号实现跨时钟域数据传输#
`timescale 1ns/1ns module data_driver( input clk_a, input rst_n, input data_ack, output reg [3:0]data, output reg data_req ); reg data_ack_1,d...
2023-08-08
0
243
题解 | #同步FIFO#
`timescale 1ns/1ns /**********************************RAM************************************/ module dual_port_RAM #(parameter DEPTH = 16, p...
2023-08-08
0
310
首页
上一页
1
2
3
4
5
6
下一页
末页