远方qaq
远方qaq
全部文章
分类
题解(17)
归档
标签
去牛客网
登录
/
注册
远方qaq的博客
全部文章
(共17篇)
题解 | #输入序列连续的序列检测#
`timescale 1ns/1ns module sequence_detect( input clk, input rst_n, input a, &nbs...
2022-03-12
0
256
题解 | #优先编码器电路①#
`timescale 1ns/1ns module encoder_0( input [8:0] I_n ...
2022-03-12
3
493
题解 | #4位数值比较器电路#
`timescale 1ns/1ns module comparator_4( input [3:0] A&nbs...
2022-03-12
1
504
题解 | #使用函数实现数据大小端转换#
`timescale 1ns/1ns module function_mod( input clk, input rst_n, input [3:0]a, input [3:0]b, output [3:0]c, output [3:0]d ); function [3:0] data_in; i...
2022-03-12
0
261
题解 | #使用子模块实现三输入数的大小比较#
`timescale 1ns/1ns //********************************** //主模块 //********************************** module main_mod( input clk, input rst_n, input [7:0...
2022-03-11
0
375
题解 | #求两个数的差值#
`timescale 1ns/1ns module data_minus( input clk, input rst_n, input [7:0]a, input [7:0]b, output reg [8:0]c ); always @(posedge clk or negedge rst_n...
2022-03-11
0
265
题解 | #多功能数据处理器#
`timescale 1ns/1ns module data_select( input clk, input rst_n, input signed[7:0]a, input signed[7:0]b, input [1:0]select, output reg signed [8:0]c ); ...
2022-03-11
0
248
首页
上一页
1
2
下一页
末页