tiltil
tiltil
全部文章
分类
题解(9)
归档
标签
去牛客网
登录
/
注册
tiltil的博客
全部文章
(共9篇)
题解 | #求最小公倍数#
辗转相除法,不需要使用状态机,而是引入了一个flag_r标志正在进行辗转相除,比参考答案节约了7个cycle `timescale 1ns/1ns module lcm#( parameter DATA_W = 8) ( input [DATA_W-1:0] A, input [DATA_W-1:...
2022-03-27
15
2694
题解 | #使用握手信号实现跨时钟域数据传输#
数据打两拍后(d0_r, d1_r)才能使用(d1_r),要制造脉冲信号不能使用d0_r,因为大概率还会有亚稳态,得再延一个周期得到d2_r,用d1_r和d2_r制造脉冲 `timescale 1ns/1ns module data_driver( input clk_a, input rst_...
2022-03-27
21
1862
题解 | #编写乘法器求解算法表达式#
用generate简化代码 `timescale 1ns/1ns module mul( input [3:0] a, input [3:0] b, output [7:0] c ); wire [7:0] tmp [3:0]; genvar i; ...
2022-03-26
2
400
题解 | #任意奇数倍时钟分频#
能通过仿真 `timescale 1ns/1ns module clk_divider #(parameter dividor = 5) ( input clk_in, input rst_n, output clk_out ); reg [$clog2(dividor):0...
2022-03-26
0
449
题解 | #串行进位加法器#
用generate 简化代码 module add_4( input [3:0] A , input [3:0] B , input Ci , output wire [3:0] S , ...
2022-03-26
8
490
题解 | #同步FIFO#
直接通过读写指针来判断空满:两者相等,空;写比读大了一圈:满; `timescale 1ns/1ns /**********************************RAM************************************/ module dual_port_RAM #(...
2022-03-20
18
2283
题解 | #任意小数分频#
简化版答案如下,仿真正确。 `timescale 1ns/1ns module div_M_N( input wire clk_in, input wire rst, output reg clk_out ); parameter M_N = 8'd87; parameter c89 ...
2022-03-17
9
508
题解 | #自动贩售机2#
简化版代码如下,仿真正确。 `timescale 1ns/1ns module seller2( input wire clk , input wire rst , input wire d1 , input wire d2 , input wire sel , output ...
2022-03-17
0
398
题解 | #非整数倍数据位宽转换24to128#
通用型非整数倍数据位宽转换如下: `timescale 1ns/1ns module width_24to128( input clk , input rst_n , input valid_in , input [23:0] data_in , ...
2022-03-17
5
473