来自204的牛魔王
来自204的牛魔王
全部文章
分类
归档
标签
去牛客网
登录
/
注册
来自204的牛魔王的博客
全部文章
(共64篇)
题解 | #用3-8译码器实现全减器#
`timescale 1ns/1ns module decoder_38( input E , input A0 , input A1 , input ...
2023-05-08
0
185
题解 :使用8线-3线优先编码器Ⅰ实现16线-4线优先编码器
`timescale 1ns/1ns module encoder_83( input [7:0] I , input EI , output wire [2:0] Y , output wi...
2023-05-04
0
358
题解 | #优先编码器Ⅰ#
`timescale 1ns/1ns module encoder_83( input [7:0] I , input EI , output wire [2:0] Y , output w...
2023-05-04
0
193
题解 | #用优先编码器①实现键盘编码电路#
`timescale 1ns/1ns module encoder_0( input [8:0] I_n , output reg [3:0] Y_n ); always @(*)begin casex(I_n) ...
2023-05-04
0
266
题解 | #优先编码器电路①#
`timescale 1ns/1ns module encoder_0( input [8:0] I_n , output reg [3:0] Y_n ); always @(*) begin casex(I_n) 9'b11...
2023-05-04
0
210
题解 | #4bit超前进位加法器电路#
`timescale 1ns/1ns module lca_4( input [3:0] A_in , input [3:0] B_in , input C_1 , output wire CO ,...
2023-05-04
0
184
题解 | #4位数值比较器电路#
`timescale 1ns/1ns module comparator_4( input [3:0] A , input [3:0] B , output wire Y2 , //A>B output wire Y...
2023-05-03
1
245
题解 | #4位数值比较器电路#
`timescale 1ns/1ns module comparator_4( input [3:0] A , input [3:0] B , output wire Y2 , //A>B output wire Y...
2023-05-03
1
209
题解 | #使用函数实现数据大小端转换#
`timescale 1ns/1ns module function_mod( input [3:0]a, input [3:0]b, output [3:0]c, output [3:0]d ); assign c=reverse(a); assign d=reverse(b); f...
2023-05-03
1
178
题解 | #使用子模块实现三输入数的大小比较#
`timescale 1ns/1ns module sub_mod( input clk, input rst_n, input [7:0] in1, input [7:0] in2, output reg[7:0] c ); always @(posedge clk or negedge rst_...
2023-05-03
1
195
首页
上一页
1
2
3
4
5
6
7
下一页
末页