来自204的牛魔王
来自204的牛魔王
全部文章
分类
归档
标签
去牛客网
登录
/
注册
来自204的牛魔王的博客
全部文章
(共64篇)
题解 | #异步FIFO#
`timescale 1ns/1ns /***************************************RAM*****************************************/ module dual_port_RAM #(parameter DEPTH = 16,...
2023-06-15
0
224
题解 | #任意奇数倍时钟分频#
module clk_divider #(parameter dividor = 5) ( input clk_in, input rst_n, output clk_out ); parameter change_pt=(dividor-1)/2; parameter ...
2023-06-15
0
284
题解 | #同步FIFO#
`timescale 1ns/1ns /**********************************RAM************************************/ module dual_port_RAM #(parameter DEPTH = 16, pa...
2023-06-14
0
206
题解 | #超前进位加法器#
`timescale 1ns/1ns module huawei8//四位超前进位加法器 ( input wire [3:0]A, input wire [3:0]B, output wire [4:0]OUT ); //*************code***********// wir...
2023-06-13
0
290
题解 | #状态机与时钟分频#
module huawei7( input wire clk , input wire rst , output reg clk_out ); //*************code***********// parameter s0=0,s1=1,s2=2,s3=3; reg [2:0...
2023-06-13
0
318
题解 | #使用握手信号实现跨时钟域数据传输#
module data_driver( input clk_a, input rst_n, input data_ack, output reg [3:0]data, output reg data_req ); reg [3:0] counter; reg ack0,ack1; al...
2023-06-13
0
304
题解 | #编写乘法器求解算法表达式#
module calculation( input clk, input rst_n, input [3:0] a, input [3:0] b, output [8:0] c ); wire [7:0]temp_out0,temp_out1; mul_4 u0(clk,rst_n,a,...
2023-06-09
0
227
题解 | #并串转换#
`timescale 1ns/1ns module huawei5( input wire clk , input wire rst , input wire [3:0]d , output wire valid_in , output wire dout ); reg [3:0] ...
2023-06-05
1
295
题解 | #自动售卖机#
module sale( input clk , input rst_n , input sel ,//sel=0,5$dranks,sel=1,10&=$drinks inpu...
2023-06-01
0
279
题解 | #交通灯#
无法通过牛客网的测试,代码和仿真波形如下: module triffic_light ( input rst_n, //异位复位信号,低电平有效 input clk, //时钟信号 input pass_request, output wire[7:...
2023-05-31
0
216
首页
上一页
1
2
3
4
5
6
7
下一页
末页