迷人的小飞象又在摸鱼
迷人的小飞象又在摸鱼
全部文章
分类
归档
标签
去牛客网
登录
/
注册
迷人的小飞象又在摸鱼的博客
全部文章
(共28篇)
题解 | #十六进制计数器#
`timescale 1ns/1ns module counter_16( input clk , input rst_n , output reg [3:0] Q ); //reg [3:...
2024-10-17
0
57
题解 | #超前进位加法器#
`timescale 1ns/1ns module huawei8//四位超前进位加法器 ( input wire [3:0]A, input wire [3:0]B, output wire [4:0]OUT ); //*************code***********// ...
2024-10-17
0
35
题解 | #状态机与时钟分频#
`timescale 1ns/1ns module huawei7( input wire clk , input wire rst , output reg clk_out ); parameter s0='b0001,s1='b0010,s2='b0100,s3=1000; re...
2024-10-17
0
46
题解 | #使用握手信号实现跨时钟域数据传输#
`timescale 1ns/1ns module data_driver( input clk_a, input rst_n, input data_ack, output reg [3:0]data, output reg data_req ); reg data_ack_r1,da...
2024-10-10
1
51
题解 | #根据RTL图编写Verilog程序#
`timescale 1ns/1ns module RTL( input clk, input rst_n, input data_in, output reg data_out ); //上升沿检测电路 logic data_in_r; always @(posedge clk or...
2024-10-10
0
65
题解 | #并串转换#
`timescale 1ns/1ns module huawei5( input wire clk , input wire rst , input wire [3:0]d , output wire valid_in , output wire dout ); //*******...
2024-10-10
0
45
题解 | #使用3-8译码器①实现逻辑函数#
`timescale 1ns/1ns module decoder_38( input E1_n , input E2_n , input E3 , input ...
2024-09-12
0
87
题解 | #数据选择器实现逻辑电路#
`timescale 1ns/1ns module data_sel( input S0 , input S1 , input D0 , input D1...
2024-09-12
0
75
题解 | #优先编码器Ⅰ#
`timescale 1ns/1ns module encoder_83( input [7:0] I , input EI , output reg [2:0] Y , output re...
2024-07-05
0
147
题解 | #用优先编码器①实现键盘编码电路#
`timescale 1ns/1ns module encoder_0( input [8:0] I_n , output reg [3:0] Y_n ); always @(*)begin casex(I_n) ...
2024-07-05
0
156
首页
上一页
1
2
3
下一页
末页